PDA

Επιστροφή στο Forum : Περι fpga ο λογος....



funk
01-03-06, 22:20
Γεια χαρα!

Εκανα search αλλα δε βρηκα κατι σχετικο με fpga στο forum, οποτε ελπιζω η ερωτησεις που θα θεσω σε λιγο να μην επαναλαβουν κατι που εχει ηδη υποθει :oops: Θα ηθελα να μου πειτε οποιοι εχετε ασχοληθει με το θεμα "υλοποιηση κυκλωματων με fpga" περι τινος προκειται και τι ειδους κυκλωματα εχετε υλοποιησει. Προσωπικα για πληροφοριες εχω κοιταξει στα site των altera, xlinx και σε καποια αλλα, αλλα μου λειπει μια γνωμη/αποψη εκφρασμενη στη νεα ελληνικη γλωσσα :lol: Επισης, αν καποιος να προτυνει και κανα βιβλιαρακι σχετικο με το θεμα ακομα καλυτερα :lol:

Lykos1986
02-03-06, 00:06
Αρχικά καλώς ήρθες στο forum!!!

Το θέμα που έθεσες όντως δεν έχει συζητηθεί, ίσως για τον λόγο ότι είναι λίγο “μπερδεμένο”. Ο λόγος που δεν το ξεμπερδεύουμε είναι ότι συνήθως τα κυκλώματα που θέλουμε να υλοποιήσουμε ολοκληρώνονται πλήρως με χρήση ενός μC που από την φύση του έχει πιο εύκολο προγραμματισμό και γενικότερα είναι ποιο φιλικός για κάποιον που ξεκινάει, και όχι μόνο, με τέτοια συστήματα. Τα FPGA πρώτα από όλα δεν μπορείς να τα χειριστείς όπως έναν μC. Κυρίως αναπτύσσεις εφαρμογές πάνω σε αναπτυξιακά αφού δεν μπορείς να τα βρεις εύκολα στην αγορά αλλά κυρίως γιατί ακόμα και να τα βρεις δεν θα μπορέσεις να τα κολλήσεις σε καμία πλακέτα αφού απαιτούν ειδικό εξοπλισμό. Κυκλοφορούν κυρίως σε συσκευασίες BGA και QFP και απαιτούν την χρήση εξωτερικής μη πτητικής μνήμης για την αποθήκευση του προγράμματος.

Από την άλλη οι δυνατότητες των FPGA είναι τεράστιες!!!!!!! Κυριολεκτικά τεράστιες. Απλά θα σου πω πως μέσα του μπορείς να βάλεις αρκετούς PIC σειράς 16F ή 8051 (χρησιμοποιώντας φυσικά την αρχιτεκτονική τους), να τους συνδέσεις όπως εσύ θέλεις, να γράψεις τον κώδικά τους, να φτιάξεις επιπλέον το δικό σου λογικό κύκλωμα και να σου περισσέψει και χώρος για μελλοντική χρήση. Μπορείς να κάνεις εφαρμογές επεξεργασίας εικόνας ή ήχου σε πραγματικό χρόνο, μπορείς να υλοποιήσεις τεράστια κυκλώματα μόνο με ένα chip, μπορείς να έχεις ΙΟ pins που φτάνουν τα 300 και πολλές φορές και πολύ παραπάνω, μπορείς… να κάνεις πάρα πολλά. Φυσικά για όλα αυτά συνήθως χρησιμοποιείς γλώσσα VHDL και όχι σχηματική. Απλά θα σου πω πως η παραπάνω γλώσσα είναι γερό παλούκι. Για να μπορέσεις να την χρησιμοποιήσεις θα πρέπει να καταλάβεις ότι δεν είναι μια κλασική προγραμματιστική γλώσσα με επιπλέον δυνατότητες αλλά γλώσσα περιγραφής υλικού. Ακούγεται απλό αλλά στην πράξη κάθε πρόγραμμα που θα γράφεις θα το φτιάχνεις σκεφτόμενος με κλασικό προγραμματισμό που σημαίνει πως είναι λάθος! Θα ξενυχτήσεις αρκετές φορές για να καταφέρεις να καταλάβεις πως θέλει το chip τον κώδικα και τελικός θα καταλάβεις πως δεν έχεις κάνει τίποτα!!!

Τέλος πάντων, μπορώ να σου πω βασικά πράγματα στα FPGA αφού και εγώ τώρα έχω ξεκινήσει. Πάντως έχω πάρει το βάπτισμα του πυρός στην VHDL!!! Οι εφαρμογές που έχω κάνει είναι οι απολύτως βασικές αλλά το παλεύω!!! Αν θες πες μου συγκεκριμένα τι θες να μάθεις για τα FPGA και να σου πω τι έχω μάθει, (όσο ξέρω τουλάχιστον :? ) γιατί αν αναφερόμαστε έτσι γενικός θα πρέπει να γράφουμε σελίδες αφού όπως σου είπα η συγκεκριμένη τεχνολογία δεν αναφέρετε αποκλειστικά και μόνο σε ένα τομέα.

Πάντως αν θες να αρχίσεις με τα FPGA τότε θα σου πρότεινα να ψάξεις αρκετά την σελίδα της Xilinx αφού είναι πρωτοπόρος σε τέτοια θέματα. Το υλικό που θα βρεις μέσα είναι αρκετό για αρχή, αν και θα πρέπει να έχεις αρκετές βασικές γνώσεις ψηφιακών για να καταλαβαίνεις τι λέει. Επίσης θα ήταν προτιμότερο να δοκιμάσεις πρώτα τις δυνατότητες σου σε ένα CPLD ώστε να δεις πως τα πάς γενικά με την ιδέα του προγραμματιζόμενου hardware. Τα CPLD είναι πολύ πιο εύχρηστα και μπορούν να τοποθετηθούν άνετα πάνω σε ένα κύκλωμα που εσύ έχεις φτιάξει. Φυσικά όπως και στα FPGA έτσι και στα CPLD μπορείς να δουλέψεις με γλώσσα VHDL αλλά πιστεύω πως αυτά κυρίως αναφέρονται σε σχηματική γλώσσα. Επίσης ψάξε για e-books για την γλώσσα VHDL. Αν δεν βρεις κάτι τότε θα σου στείλω εγώ μερικά. Πάντως αν αρχίσεις να ασχολείσαι με τέτοια κυκλώματα να ξέρεις πως θέλουν πολύ προσπάθεια και αρκετό διάβασμα. Με λίγα λόγια θα πρέπει να ασχοληθείς πάρα πολύ για να καταφέρεις να κάνεις μια σχετικά “απλή” εφαρμογή σε αντίθεση με έναν μC που αν καταλάβεις κάποια βασικά πράγματα μπορείς σχετικά γρήγορα να κάνεις αρκετές εφαρμογές. Σε καμία περίπτωση μην απογοητευτείς στην αρχή. Να ξέρεις πως αν αρχίσεις τότε θα κολλήσεις!!!!!!!

Ελπίζω να μην σε κούρασα!!!

Πώς και θες να ασχοληθείς με τα FPGA;;; Σε ποια σχολή πας;;;

funk
03-03-06, 18:53
Καταρχην σ'ευχαριστω για την απαντηση σου!

Ηθελα να ξεκινησω να ασχολουμε αυτο τον καιρο με τα των fpga και την vhdl. Κυριως οι εφαρμογες που με ενδιαφερουν ειναι DSP για τηλεπικοινωνιες. Εχω ψηλοπελαγωσει γιατι δεν μπορω να καταλαβω απο απου πρεπει να αρχισω. Βρηκα καποια βιβλια ομως αυτα εχουν να κανουν με την αρχιτεκτονικη των fpga και οχι με το πως τα χρησιμοποιεις. Βρηκα και καποια ebook για vhdl και νομιζω πως αυτη ειναι η αρχη :wink: . Βρηκα και ενα αρκετα ενδιαφερον βιβλιο στον Παπασωτηριου στην Αθηνα (Digital Signal Processing with Field Programmable Gate Arrays εκδοσεις Springer ) αλλα ειχε 90Ε και με πικρανε πολυ...

Στους Ηλεκτρολογους Μηχανικους στην Πατρα ειμαι και λεω να κινηθω προς αυτα τα θεματα σε επιπεδο διπλωματικης κλπ.
Εσυ σε ποια σχολη εισαι?

Lykos1986
06-03-06, 23:12
Και εγώ θέλω να κινηθώ προς τέτοια θέματα, αλλά έχω ακόμα χρόνο. Φυσικά τέτοιου είδους θέματα είναι αρκετά πιο δύσκολα (ανάλογα και με το επίπεδο ανάπτυξης της κατασκευής σου) αλλά τελικός έχουν να σου προσφέρουν περισσότερα!!!

(ΤΕΙ, Τμήμα ηλεκτρονικής Θεσσαλονίκης)

sgoum
07-03-06, 18:49
Εγω εχω αγορασει ενα starter kit απο τη xilinx γυρω στα 50$ και βιβλια βρηκα καμια δεκαρια στο δικτυο.
http://www.xilinx.com/xlnx/xebiz/designResources/ip_product_details.jsp?key=DO-CPLD-DK

Εβαλα και το μαγιο και βουτηξα στα βαθια :D

Lykos1986
28-03-06, 19:39
Μήπως έχει κανένας κανένα manual για IP Cores;;; Ψάχνομαι τον τελευταίο καιρό με τους παραπάνω software πυρήνες, αλλά από τις πληροφορίες που παίρνω από την σελίδα της Xilinx έχω μπερδευτεί.

Ρε sgoum, πως κατάφερες και βρήκες το συγκεκριμένο αναπτυξιακό μόνο με 50Euros!!!!!!!;;;;;;;; Αφού η τιμή του είναι στα 99Euros και αν προσθέσεις φόρους και εκτελωνισμό για να σου έρθει στο σπίτι σου τότε το αναπτυξιακό θα φτάσει περίπου στα 150Euros;;; Εξάλλου το συγκεκριμένο που παραθέτεις είναι CPLD και όχι κάποιο FPGA. Μήπως έχεις κανένα μέσο στην Xilinx;;; Όχι γιατί αν έχεις, αν πεις και εμένα για να παραγγείλω καμία 50αριά Spartan-3 σε καλή τιμή!!! :D

Πάντως είναι εξαιρετικό για αρχή, γιατί βλέπω πως βγάζει πολλά IO ports.

amiga
28-03-06, 22:04
Εγώ δουλεύω ALTERA εδώ και χρόνια και είμαι πολύ ευχαριστημένος!

Lykos1986
28-03-06, 22:42
Εγώ δουλεύω με Xilinx. Προσωπικός πιστεύω πως είναι πολύ ανώτερα από αυτά της Altera. Τώρα θα μου πεις πως μπορείς να κάνεις σύγκριση αφού δεν έχεις δοκιμάσει την Altera. Το λέω από αυτά που βλέπω στο internet και γενικός σε διάφορα έντυπα.

Τώρα αν ξανακάνεις ένα post και μου πεις πως έχω άδικο σε αυτό που λέω, τότε μάλλον δεν θα μπορέσω να αντικρούσω την άποψη σου αφού όπως είπα δεν έχω δουλέψει με Altera FPGA και για την ακρίβεια ούτε καν έχω δει από κοντά fpga της Altera και ούτε έκατσα να διαβάσω κάποιο datasheet των εν λόγο fpga για να δω διαφορές στην αρχιτεκτονική τους κτλ. Οπότε πάω πάσο!!!

Πάντως αν έχεις τίποτα να διαβάσουμε με θέμα Altera vs Xilinx τότε θα το διάβαζα ευχαρίστως. :D

sgoum
29-03-06, 15:39
http://www.xilinx.com/xlnx/xebiz/checkout/cart.jsp?sGlobalNavPick=&sSecondaryNavPick=&iLanguageID=1&category=-1210334&OID=123008


[49$+ταχυδρομικα (δεν θυμαμαι ποσα)]/1.2 (διαφορα Ευρω-$) ~= 50ευρω
Δεν περασε τελωνειο.Ειναι CPLD, δλδ δεν παρεχει τοσες πυλες οσες ενα FPGA αλλα δεν χρειαζεται και εξωτερικη μνημη για να το ξαναπρογραμματιζει
σε καθε reboot.
Αρα ειναι ιδανικο για να μαθει κανεις :wink:

amiga
29-03-06, 17:29
Ούτε και εγώ έχω δοκιμάσει ποτέ Xilinx! Άρα ούτε και εγώ μπορώ να τα συγκρίνω!
Για ALTERA προγραμματίζω στο MAXPLUS II της ALTERA σε VHDL φυσικά.

Lykos1986
29-04-06, 19:37
funk, μπορείς να μου προτείνεις κανένα βιβλίο για την εσωτερική δομή των FPGA ή και για την εσωτερική δομή των μικροελεγκτών; Ενδιαφέρομαι κυρίως για αρχιτεκτονική κτλ και όχι για μικροηλεκτρονική.

chip
19-05-06, 17:56
Για σας,
Ενα καλο βιβλιο που συνδιαζει ψηφιακη σχεδιαση αρχιτεκτονικη επεξεργαστων και VHDL ειναι το Σχεδιαση Ψηφιακών Συστημάτων με τη Γλώσσα VHDL των Stephen Brown και Zvonko Vranesic απο τις εκδοσεις Τζιόλα (αν θες κυκλοφωρει και το προτοτυπο αγγλικο :).
Προσφέρει καλή θεωρία ψηφιακών ηλεκτρονικών, αρκετη θεωρία για να ξεκινησει κανεις στην VHDL, αναφέρεται στην σχεδίαση επεξεργαστών (από την άποψη των ψηφιακών οχι της μικροηλεκτρονικής) δίνοντας και ενα παράδειγμα σχεδίασης επεξεργαστή, και αναφερεται και σε αρχιτεκτονικές FPGA, των ALTERA και XILINX. Τέλος περιλαμβανει και CD με το MAX PLUS της ALTERA (δεν ξερω αν πλεον δινουν νεοτερη εκδοση) ενω περιέχει και αναλυτικό παραδειγμα για τον χειρισμο του προγραμματος.
Αν ενδιαφερεσε για περισσοτερη θεωρια ψηφιακων κυκλωματων για σχεδιαση επεξεργαστων (αλλα λιγοτερη VHDL) μπορείς να κοιτάξεις στο βισλίο LOGIC AND COMPUTER DESIGN FUNDAMENTALS των M. MORRIS MANO και CHARLES R. KIME 2nd edition (δεν ξέρω αν υπάρχει μετάφραση στα ελληνικά)

Οσο για το ποιά είναι καλυτερα FPGA (μεταξύ ALTERA και XILINX) το θέμα είναι πολυ σύνθετο πιστευο και ίσως δεν έχει απαντηση. Πλεον και οι δυο εταιρίες προσφερουν απο πολυ απλα CPLD η FPGA μέχρι πολυ σύνθετα FPGA. Πιστευο οτι οι περισσότεροι αρκουνται με τα μικρά ή τα μεσαίας χωρητικότητας FPGA που διαθέτουν και οι δύο εταιρίες ενώ οι λιγοι που έχουν ανάγκη και μπορουν να πληρώσουν 5000 euro ή παραπανω για ένα chip πολύ υψηλής πυκνότητας θα κινηθούν σε μία λύση που θα προσφέρει κάποια απο τις δύο εταιρίες και θα τυχαίνει να ταιριάζει καλυτερα στις ανάγκες της συγκεκριμένης εφαρμογής.
Πάντως αν θυμάμε καλά, γιατι έχω σταματήσει να ενημερόνομαι σε βάθος σε τέτοια θέματα, πριν 3-4 χρόνια η ALTERA περνούσε σε ταχύτητα την XILINX ενώ η XILINX ήταν μπροστά σε χωρητικότητα (μιλαμε παντα για μικρές διαφορες). Βέβαια αυτά αλλαζαν συνέχεια και αντιστρεφονταν το παιχνιδι.
Πιστεύο οτι για τους περισσοτερους που θέλουν ένα FPGA με κόστος απο 15 ευρο μέχρι το πολύ λιγες εκατοντάδεs euro, θα πρέπει να διαλέξουν την εταιρία η οποία έχει το λογισμικό το οποίο μπορουν να αποκτήσουν και να δουλέψουν ευκολοτερα (και οι δύο δίνουν πλεον Free versions) και φυσικά να μπορούν να βρούν και προμηθευτή για να αγορασουν σε λογικό κόστος τα αναλογα τσιπακια :D .

Εγώ παντος είμαι ικονοποιημένος από την ALTERA αλλά και η μικρή επαφή (μόνο CPLD) που είχα με την XILINX ήταν επίσης καλή.

Lykos1986
19-05-06, 22:06
Το βιβλίο που αναφέρεις το έχω! Το έχω διαβάσει αρκετές φορές. Πλέον άρχισα να διαβάζω αγγλικά βιβλία και όπου μας βγάλει!!!

ALAMAN
18-08-14, 01:25
Σκεφτόμουν να πάρω κανένα για να παίξω.
http://www.ebay.com/sch/i.html?_from=R40&_sacat=0&_nkw=fpga&rt=nc&LH_BIN=1
Τί λέτε αξίζει;
Επαφή είχα μόνο με CPLD στο ΤΕΙ, της xillinx.
Σχεδιάζαμε ψηφιακά κυκλώματα με πύλες (ούτε καν VHDL) και πατούσαμε το κουμπάκι program. τίποτα άλλο.
Απλά σκεφτόμουν μήπως μπλέξω

chip
18-08-14, 10:35
νομίζω οτι θα διάλεγα αυτό
http://www.ebay.com/itm/FPGA-Cyclone-Altera-FPGA-EP1C3T144-Learning-Board-USB-Blaster-JTAG-programmer-/301007803732?pt=LH_DefaultDomain_0&hash=item4615769554
γιατί δεν ξεφεύγει σε κόστος... δίνει μαζί τον προγραμματιστή (το lcd απ οτι κατάλαβα δεν περιλαμβάνεται), ενώ η πλακέτα φαίνεται να έχει θέση για να κολλήσεις connector για μεγάλο αριθμό από τα I/Ο του FPGA

να θυμάσαι οτι τα fpga δεν δέχονται παιχνίδια με βραχυκυκλώματα στο I/O και εύκολα θα το κάψεις με κάποιο λάθος (βραχυκύκλωμα)

ALAMAN
18-08-14, 10:44
νομίζω οτι θα διάλεγα αυτό
http://www.ebay.com/itm/FPGA-Cyclone-Altera-FPGA-EP1C3T144-Learning-Board-USB-Blaster-JTAG-programmer-/301007803732?pt=LH_DefaultDomain_0&hash=item4615769554
γιατί δεν ξεφεύγει σε κόστος... δίνει μαζί τον προγραμματιστή (το lcd απ οτι κατάλαβα δεν περιλαμβάνεται), ενώ η πλακέτα φαίνεται να έχει θέση για να κολλήσεις connector για μεγάλο αριθμό από τα I/Ο του FPGA

να θυμάσαι οτι τα fpga δεν δέχονται παιχνίδια με βραχυκυκλώματα στο I/O και εύκολα θα το κάψεις με κάποιο λάθος (βραχυκύκλωμα)
Σε μερικά πρόσεξα ότι δεν έχει πολλά διαθέσιμα I/O, γιατί έτσι;

Από software με τι προγραμματίζονται;
Υπάρχει κάποιο ειδικό για κάθε εταιρία;

mtzag
18-08-14, 11:15
Τα λογισμικα τα κατεβαζεις απο τις σελιδες των εταιριων.
Ειναι δωρεαν για περιορισμενη χρηση... αλλα αμα θες και full υπαρχει:biggrin:

Xilinx -> ise & vivaldo
Altera -> quartus

Με αυτα συνθετεις λογικα κυκλωματα με τα στοιχεια που εχουνε μεσα ειναι σχεδιαση hardware και οχι software προγραματισμος.
Γενικα ειναι πολυ πολυπλοκα και δυσκολα στον προγραμματισμο του και ειναι για ειδικες εφαρμογες

chip
18-08-14, 11:20
γιατί απλά ο σχεδιαστής της πλακέτας δεν το θεώρησε σημαντικό. (πιθανόν να για να βγει ποιο μικρή η πλακέτα και να έχει μικρότερο κόστος)

Το κάθε FPGA θέλει λογισμικό από τον κατασκευαστή του, ενώ υπάρχουν και λογισμικό από άλλες εταιρίες για ποιο ανώτερα πράγματα (καλύτεροι compilers με περισσότερες βιβλιοθήκες με καλύτερα simulation κλπ...)

πριν αγοράσεις οτιδήποτε μπες στον κατασκευαστή (altera στη συγκεκριμένη περίπτωση) και εγκατέστησε το λογισμικό που δίνει δωρεάν και δες αν υποστηρίζει το τσιπάκι που θα έχει η πλακέτα που θέλεις να αγοράσεις...
(υποθέτω οτι θα το υποστηρίζει το συγκεκριμένο τσιπάκι αλλά επειδή έχω καιρό να ασχοληθώ με FPGA δεν ξέρω τι προσφέρουν τώρα οι εταιρείες στα δωρεάν πακέτα τους... γιατί δεν τα προσφέρουν όλα.. αλλά αυτά που θέλουν περισσότερο να προωθήσουν....) (για όλα πρέπει να αγοράσεις τα λογισμικά και είναι ακριβά....)

επίσης αν έχεις πολύ παλαιό υπολογιστή (όπως εγώ) μπορεί και να μην επαρκεί για τα σύγχρονα προγράμματα των εταιρειών

mtzag
12-09-14, 20:34
Γνωριζει κανεις να προτεινει καποιο καλο βιβλιο/video για verilog/vhdl που εχει παραδειγματα με πραγματικες εφαρμογες ?