Εμφάνιση αποτελεσμάτων : 1 έως 7 από 7

Θέμα: CPLD

  1. #1
    Μέλος Το avatar του χρήστη ALAMAN
    Όνομα
    Γιώργος
    Εγγραφή
    Oct 2005
    Περιοχή
    -
    Μηνύματα
    2.525

    Προεπιλογή CPLD Programmer

    Υπάρχει κανένας programmer για προγραμματισμό των CPLDs της Xilinx;
    Δεν ενδιαφέρομαι για όλα ή για κάποιο συγκεκριμένο.
    Μόνο για κάποια πειράματα και για κανένα μικρό project.

    Προγραμματισμό καλύτερα από USB ή σειριακή.

    0 Not allowed! Not allowed!
    Τελευταία επεξεργασία από το χρήστη ALAMAN : 01-12-10 στις 18:58
    Το μέλλον είναι πλέον παρόν, ας το κατακτήσουμε.
    Τα microchip είναι απο τα πιο περίπλοκα κυκλώματα που φτιάχτηκαν ποτέ στον κόσμο.

  2. #2
    Μέλος Το avatar του χρήστη Radiometer
    Όνομα
    Γιαννης
    Εγγραφή
    Feb 2005
    Περιοχή
    Θεσσαλονίκη
    Μηνύματα
    1.038


    0 Not allowed! Not allowed!
    A light-year is equal to exactly 9,460,730,472,580.8 km http://www.hlektronika.gr/forum/images/misc/logo.gif
    Μηνύματα γραμμένα με greeklish θα διαγράφονται χωρίς προειδοποίηση!

  3. #3
    Μέλος Το avatar του χρήστη thanasis 1
    Όνομα
    Θανάσης
    Εγγραφή
    Jul 2010
    Περιοχή
    ΑΘΗΝΑ
    Μηνύματα
    1.382

    Προεπιλογή

    Γεια σας,σε αυτη την οθονη που εχω υπαρχει το chip EPM570T144C5N με εξωτερικο κρυσταλλο στα 100MHz και εξωτερικη sdram.
    Αυτες τις μερες την δοκιμαζω πανω σε ενα teensy 3.6 και ολα πανε καλα,εχω ομως ενα μικρο προβλημμα με την συχνοτητα λειτουργιας του teensy 3.6.
    Το καλο ειναι οτι μπορεις να ορισεις το ρολοι του μικροελλεγκτη στα ποσα MHz θες να λειτουργει,ετσι ρυθμιζοντας το στα 96MHz το εσωτερικο ρολοι του μικροελλεγκτη ολα απεικονιζονται σωστα στην οθονη,οταν ομως το οριζεις πανω απο τα 100MHz τοτε αρχιζουν τα προβληματα και δεν υπαρχει η σωστη απεικονιση πανω στην οθονη.
    Αυτο οφειλεται στον εξωτερικο κρυσταλλο τον 100MHz που εχει το chip EPM570T144C5N??Συμφωνα με το datasheet η μεγιστη συχνοτητας του chip EPM570T144C5N ειναι τα 300MHz.

    0 Not allowed! Not allowed!

  4. #4
    Μέλος Το avatar του χρήστη thanasis 1
    Όνομα
    Θανάσης
    Εγγραφή
    Jul 2010
    Περιοχή
    ΑΘΗΝΑ
    Μηνύματα
    1.382

    Προεπιλογή

    Παράθεση Αρχικό μήνυμα από thanasis 1 Εμφάνιση μηνυμάτων
    Γεια σας,σε αυτη την οθονη που εχω υπαρχει το chip EPM570T144C5N με εξωτερικο κρυσταλλο στα 100MHz και εξωτερικη sdram.
    Αυτες τις μερες την δοκιμαζω πανω σε ενα teensy 3.6 και ολα πανε καλα,εχω ομως ενα μικρο προβλημμα με την συχνοτητα λειτουργιας του teensy 3.6.
    Το καλο ειναι οτι μπορεις να ορισεις το ρολοι του μικροελλεγκτη στα ποσα MHz θες να λειτουργει,ετσι ρυθμιζοντας το στα 96MHz το εσωτερικο ρολοι του μικροελλεγκτη ολα απεικονιζονται σωστα στην οθονη,οταν ομως το οριζεις πανω απο τα 100MHz τοτε αρχιζουν τα προβληματα και δεν υπαρχει η σωστη απεικονιση πανω στην οθονη.
    Αυτο οφειλεται στον εξωτερικο κρυσταλλο τον 100MHz που εχει το chip EPM570T144C5N??Συμφωνα με το datasheet η μεγιστη συχνοτητας του chip EPM570T144C5N ειναι τα 300MHz.
    Καποια γνωμη?

    0 Not allowed! Not allowed!

  5. #5
    Μέλος
    Όνομα
    Μάνος
    Εγγραφή
    Jan 2012
    Περιοχή
    Κρήτη
    Μηνύματα
    3.951

    Προεπιλογή

    Με usb blaster και το quartus κανεις συνθεση.
    Τι ακριβως θες να κανεις?
    Ξερεις vhdl/verilog?

    0 Not allowed! Not allowed!

  6. #6
    Μέλος Το avatar του χρήστη thanasis 1
    Όνομα
    Θανάσης
    Εγγραφή
    Jul 2010
    Περιοχή
    ΑΘΗΝΑ
    Μηνύματα
    1.382

    Προεπιλογή

    Εγω ουσιαστικα θελω να εκμεταλλευτω το μεγιστο ρολοι του μικροελεγκτη στη πλατφορμα teensy3.6 στα 250MHz.Απλος θελω να σιγουρεψω αν φταιει το chip EPM570T144C5N που εχει εξωτερικο κρυσταλλο στα 100MHz και δεν μου απεικονιζονται σωστα τα δεδομενα αν ορισω στη πλατφορμα teensy3.6 πανω απο 100MHz το ρολοι του.Εαν ηθελα να βαλω στο chip EPM570T144C5N εξωτερικο κρυσταλλο στα 300MHz θα πρεπει να προγραμματιστη ξανα το chip,το θεμα ειναι που μπορω να βρω το προγραμμα του ωστε να τροποποιηθει για εξωτερικο κρυσταλλο στα 300MHz.

    0 Not allowed! Not allowed!

  7. #7
    Μέλος
    Όνομα
    Μάνος
    Εγγραφή
    Jan 2012
    Περιοχή
    Κρήτη
    Μηνύματα
    3.951

    Προεπιλογή

    ολα παιζουνε σημασια το cpld εχει oscillator οχι απλο κρυσταλο και αφου αλλαξες το ρολοι του mcu
    το ρολοι του cpld ομως δεν εχει αλλαξει αλλα δεν χρειαζετε να αλλαξεις oscillator στο cpld αυτο γινετε με διαιρεση πολλαπλασιασμο του ρολογιου.
    Πρεπει ομως να εχεις τον κωδικα vhdl/verilog χωρις αυτο δε κανεις τιποτα.

    0 Not allowed! Not allowed!

Παρόμοια Θέματα

  1. CPLD VHDL timing problem
    By cdesp in forum Γενικά
    Απαντήσεις: 9
    Τελευταίο Μήνυμα: 10-01-15, 20:54
  2. CPLD και μνήμη
    By Mushy in forum Μικροελεγκτές
    Απαντήσεις: 14
    Τελευταίο Μήνυμα: 22-07-14, 11:07
  3. XILINX CPLD
    By cdesp in forum Γενικά
    Απαντήσεις: 24
    Τελευταίο Μήνυμα: 07-01-11, 16:17
  4. CPLD + αισθητήριο κίνησης
    By TiMoRoS89 in forum Ερωτήσεις Αρχάριων
    Απαντήσεις: 4
    Τελευταίο Μήνυμα: 27-05-09, 10:10

Δικαιώματα - Επιλογές

  • Δημιουργία θεμάτων: Όχι
  • Υποβολή μηνυμάτων: Όχι
  • Σύναψη αρχείων: Όχι
  • Επεξεργασία μηνυμάτων: Όχι
  •  
  • BB code: σε λειτουργία
  • Smilies: σε λειτουργία
  • [IMG]: σε λειτουργία
  • [VIDEO] code is σε λειτουργία
  • HTML: εκτός λειτουργίας