Σελίδα 1 από 2 1 2 ΤελευταίαΤελευταία
Εμφάνιση αποτελεσμάτων : 1 έως 10 από 19

Θέμα: Σύνδεση 3.3v FPGA, CPLD σε 5v σύστημα

  1. #1
    Μέλος Το avatar του χρήστη cdesp
    Όνομα
    Χρήστος
    Εγγραφή
    Sep 2009
    Περιοχή
    Κιλκις
    Μηνύματα
    253

    Προεπιλογή Σύνδεση 3.3v FPGA, CPLD σε 5v σύστημα

    Χρησιμοποιώ ένα altera MAX7160s ως video controller αλλά έχει φτάσει στα όριά του αφού για κάθε αλλαγή που κάνω πρέπει να αλλάζω θέση τα pins για να μπορέσει να το χωρέσει.
    Οπότε σκέφτομαι να πάρω ένα με μεγαλύτερη χωρητικότητα αλλά το πρόβλημά μου είναι ότι τα μεγαλύτερα έχουν τάση 3.3V ενώ εγώ χρησιμοποιώ 5V.
    Είναι δυνατόν να χρησιμοποιήσω μερικά 74LVC4245A για να κάνω την διασύνδεση με τα 3.3V;
    Επίσης στα output θα χρειαστεί να ανεβάσω την τάση ή θα δουλέυει και με τα 3.3v(αφού είναι >2.5 που συνήθως θέλουν τα 5V chip).
    Επειδή τα περισσότερα από αυτά είναι pins διασύνδεσης με Bus(8 Data bus,16 Address bus) θα έχω πρόβλημα με το tristate (output Z) αν περάσουν τα σήματα μέσα από το 74LVC4245A;


    Χρησιμοποιούνται γύρω στα 54 pin από τα οποία τα input είναι 26 και 28 output.


    Σκέφτομαι να πάρω ένα ALTERA FPGA Cyclone II EP2C5T144 dev board νομίζω θα μου φτάσει από τα 160 macrocells που έχω τώρα.


    Αν έχετε κάποια άλλη λύση ή πρόταση ευπρόσδεκτη.

    0 Not allowed! Not allowed!

  2. #2
    Μέλος Το avatar του χρήστη llion
    Όνομα
    ΛΕΩΝ
    Εγγραφή
    Oct 2010
    Περιοχή
    ΓΛΥΦΑΔΑ
    Μηνύματα
    41

    Προεπιλογή

    Με Cyclone EP4C6.... και 3.3V LVTTL i/o pins χρησιμοποίησα 5V TTL μνήμη um61256fk-15 απευθείσς και δουλεύει.

    Αλλά το σωστό για να μην κάψεις τίποτα είναι να παρμβάλεις και μια αντίσταση και εξαρτάται και από το device που θα συνδέσεις.

    Εδω εξηγεί τι πρέπει να κάνεις:

    https://www.altera.com/en_US/pdfs/li...cyc_c51011.pdf

    0 Not allowed! Not allowed!
    Τελευταία επεξεργασία από το χρήστη llion : 20-06-16 στις 20:48

  3. Ένα μέλος ευχαρίστησε τον llion για αυτό το χρήσιμο μήνυμα:

    cdesp (30-06-16)

  4. #3
    Μέλος Το avatar του χρήστη cdesp
    Όνομα
    Χρήστος
    Εγγραφή
    Sep 2009
    Περιοχή
    Κιλκις
    Μηνύματα
    253

    Προεπιλογή

    Ευχαριστώ,

    μάλλον θα χρειαστώ αρκετές αντιστάσεις.

    0 Not allowed! Not allowed!

  5. #4
    Μέλος Το avatar του χρήστη llion
    Όνομα
    ΛΕΩΝ
    Εγγραφή
    Oct 2010
    Περιοχή
    ΓΛΥΦΑΔΑ
    Μηνύματα
    41

    Προεπιλογή

    Ναι εννοείται μια για κάθε γραμμή input της FPGA. Και η μνήμη που χρησιμοποίησα εγώ χωρίς αντιστάσεις ξέχασα να σου πω δεν τροφοδοτείται ακριβώς με 5V αλλά λίγο πιο κάτω περίπου στα 4.7V λόγω μιας διόδου που παρεμβάλεται μεταξύ της τροφοδοσίας που είναι λίγο πιο πάνω από τα 5V και του Pin τροφοδοσίας της μνήμης (η οποία δουλεύει και με 4.5V minimum).

    0 Not allowed! Not allowed!

  6. #5
    Μέλος
    Εγγραφή
    Apr 2006
    Περιοχή
    Κέρκυρα
    Μηνύματα
    5.959

    Προεπιλογή

    Με μικρές αντιστάσεις μεγάλη κατανάλωση ρεύματος με μεγάλες αντιστάσεις μεγάλη καθυστέρηση στα data! οπότε καλύτερα μετατροπή με ολοκληρωμένο!

    1 Not allowed! Not allowed!

  7. Ένα μέλος ευχαρίστησε τον chip για αυτό το χρήσιμο μήνυμα:

    cdesp (30-06-16)

  8. #6
    Μέλος Το avatar του χρήστη cdesp
    Όνομα
    Χρήστος
    Εγγραφή
    Sep 2009
    Περιοχή
    Κιλκις
    Μηνύματα
    253

    Προεπιλογή

    Τελικά παρήγγειλα 10 74lvc4245 από εδώ σε καλή τιμή.
    Νομίζω θα ναι πιο καλή λύση από τις αντιστάσεις και μπορείς να αλλάζεις και κατεύθυνση στα σήματα.

    0 Not allowed! Not allowed!

  9. #7
    Μέλος Το avatar του χρήστη llion
    Όνομα
    ΛΕΩΝ
    Εγγραφή
    Oct 2010
    Περιοχή
    ΓΛΥΦΑΔΑ
    Μηνύματα
    41

    Προεπιλογή

    Πάντως με την ευκαιρία εγώ πρόσθεσα αντιστάσεις στο δικό μου σύστημα και παρέκαμψα την δίοδο και πάλι δουλεύει μια χαρά.

    0 Not allowed! Not allowed!

  10. #8
    Μέλος Το avatar του χρήστη CybEng
    Όνομα
    CybEng
    Εγγραφή
    Oct 2007
    Περιοχή
    Athens
    Μηνύματα
    333

    Προεπιλογή

    Παράθεση Αρχικό μήνυμα από cdesp Εμφάνιση μηνυμάτων
    Τελικά παρήγγειλα 10 74lvc4245 από εδώ σε καλή τιμή.
    Νομίζω θα ναι πιο καλή λύση από τις αντιστάσεις και μπορείς να αλλάζεις και κατεύθυνση στα σήματα.

    Τώρα τα έχεις παραγγείλει οπότε δεν έχει και πολύ νόημα η πληροφορία που δίνω. Απλά το καταχωρώ για μελλοντική χρήση αλλά και προς γενικότερη πληροφόρηση.

    Εάν θέλουμε να γλυτώσουμε τον έλεγχο κατεύθυνσης του σήματος και να απλοποιήσουμε το κύκλωμά μας, η TI έχει μια ωραία οικογένεια 8bit δι-κατευθυντικούς μετατροπείς στάθμης ψηφιακού σήματος με αυτόματη ανίχνευση της φοράς μετάδοσης της πληροφορίας.

    Πρόκειται για το TXB0108 (για Push-Pull CMOS εξόδους και ταχύτητες έως 100Mbps) και το TXS0108E (για εξόδους Open-Drain και ταχύτητα έως 2Mbps ή Push-Pull με ταχύτητες έως 110Mbps).

    1 Not allowed! Not allowed!

  11. 2 μέλη ευχαρίστησαν τον CybEng για αυτό το χρήσιμο μήνυμα:

    cdesp (30-06-16), picdev (24-06-16)

  12. #9
    Μέλος
    Εγγραφή
    Apr 2006
    Περιοχή
    Κέρκυρα
    Μηνύματα
    5.959

    Προεπιλογή

    το 74lvc4245 βολεύει κάποιον που πειραματίζεται με πλακέτες που κάνει στο σπίτι και πιθανόν φτιάχνει σε διάτρητες πλακέτες γιατί έχει package με μεγαλύτερες διαστάσεις

    0 Not allowed! Not allowed!

  13. #10
    Μέλος Το avatar του χρήστη cdesp
    Όνομα
    Χρήστος
    Εγγραφή
    Sep 2009
    Περιοχή
    Κιλκις
    Μηνύματα
    253

    Προεπιλογή

    Σχετικά με την τάση τροφοδοσίας 3.3v που θα χρειαστεί το FPGA αλλά και τα 74lvc245 θα μπορούσα να χρησιμοποιήσω απλά ένα voltage divider με αντιστάσεις ή να χρησιμοποιήσω κάτι τέτοιο ή τέτοιο ή και κάτι σαν αυτό το οποίο το έχω χρησιμοποιήσει και δουλεύει και πολύ καλά και έχω και ένα περίσσιο.

    Προς το παρόν το project καταναλώνει 0.39Α οπότε λογικά δεν θα 'χω πρόβλημα με κανένα από τα τρία ακόμα και με το FPGA.

    0 Not allowed! Not allowed!

Σελίδα 1 από 2 1 2 ΤελευταίαΤελευταία

Παρόμοια Θέματα

  1. CPLD
    By ALAMAN in forum Γενικά
    Απαντήσεις: 6
    Τελευταίο Μήνυμα: 08-05-20, 16:40
  2. CPLD και μνήμη
    By Mushy in forum Μικροελεγκτές
    Απαντήσεις: 14
    Τελευταίο Μήνυμα: 22-07-14, 11:07
  3. XILINX CPLD
    By cdesp in forum Γενικά
    Απαντήσεις: 24
    Τελευταίο Μήνυμα: 07-01-11, 16:17
  4. CPLD + αισθητήριο κίνησης
    By TiMoRoS89 in forum Ερωτήσεις Αρχάριων
    Απαντήσεις: 4
    Τελευταίο Μήνυμα: 27-05-09, 10:10

Δικαιώματα - Επιλογές

  • Δημιουργία θεμάτων: Όχι
  • Υποβολή μηνυμάτων: Όχι
  • Σύναψη αρχείων: Όχι
  • Επεξεργασία μηνυμάτων: Όχι
  •  
  • BB code: σε λειτουργία
  • Smilies: σε λειτουργία
  • [IMG]: σε λειτουργία
  • [VIDEO] code is σε λειτουργία
  • HTML: εκτός λειτουργίας