PDA

Επιστροφή στο Forum : ελεγχος θεσεων και πληροτητας χωρου σταθμευσης αυτοκινητων



kerkureos2
03-12-14, 18:21
ο χωρος σταθμεθσης επαρκει για 100 αυτοκινητα ... τα αυτοκινητα εισερχονται και εξερχονται με αποτελεσμα η ενδειξη , στο δεκαδικο συστημα να αποδιδει σε καθε χρονικη στιγμη τον αριθμο των αυτοκινητων που υπαρχουν μεσα στπ χωρο σταθμεθσης , μετα απο τα 100 το σθστημα συναγερμου να ειδοποιει οτι ο χωρος ειναι πληρης ........ για δηλωση των εισερχομενον και εξερχομενων αθτοκινητων χρησιμοποιω μικροδιακοπτες...Η ενδειξη των οχηματων καθε στιγμη λαμβανεται σε ενδεικτεσ 7 τομεων ,,ο σθναγερμοσ θα ειναι ενα led που θα αναβοσβηνει




θα ηθελα το τροπο που θα μπορω να το πραγματοποιησω στο breadboard

ΕΥΧΑΡΙΣΤΩ ΠΟΛΥ..

el greco 1
03-12-14, 18:25
ασκηση ειναι αυτο η θα το κανειs για εγκατασταση.

kerkureos2
03-12-14, 18:29
ασκηση ειναι αυτο η θα το κανειs για εγκατασταση.
αρχικά θα το πραγματοποιησω στο breadboard και αν θα μ κανοποιήσει θα το πραγματοποιησω
θα ηθελα να μου πειτε γνωμη πως να το φτιαξω

xsterg
03-12-14, 19:02
αν ειναι για εκμεταλευση τοτε πρεπει να πληρωσεις...

kerkureos2
03-12-14, 20:15
τι εννοείς να πληρώσω

el greco 1
03-12-14, 20:15
εχω ενα ετοιμο με πι ελ σι αν σε ενδιαφερει.

kerkureos2
04-12-14, 15:46
Αν εχει καποιος κανενα σχεδιαγραμμα να μου στειλει θα ηταν πολυ χρήσιμο

midakos
05-12-14, 00:12
Για καποιο λογο μ μυριζει τει κοζανης, εκει καναμε μια τετοια ασκηση στα plc... Μπορει να κανω και λαθος...

el greco 1
05-12-14, 22:10
για κοιτα εδω εχει πολλα σχεδια https://www.google.gr/search?q=car+parking+circuit+diagram&es_sm=93&tbm=isch&tbo=u&source=univ&sa=X&ei=Ug-CVMvgBMK2Udb8g9AP&ved=0CCwQsAQ&biw=1024&bih=653#tbm=isch&q=automatic+car+parking+circuit+diagram&imgdii=_